當前位置:學問君>學習教育>畢業論文>

自動售貨機VHDL程序

學問君 人氣:2.82W

(1)自動售貨機VHDL程序如下:
--檔案名:pl_。
--功能:貨物資訊存儲,進程控制,硬幣處理,餘額計算,顯示等功能。
--說明:顯示的錢數coin的 以5角爲單位。
--最後修改日期:2004.3.23。
library ieee;
use _logic_;
use _logic_;
use _logic_;
entity PL_auto1 is
port ( clk:in std_logic;                              --系統時鐘
 set,get,sel,finish: in std_logic;                   --設定、買、選擇、完成信號
 coin0,coin1: in std_logic;                      --5角硬幣、1元硬幣
 price,quantity  :in std_logic_vector(3 downto 0);   --價格、數量數據
 item0 , act:out std_logic_vector(3 downto 0);       --顯示、開關信號
 y0,y1 :out std_logic_vector(6 downto 0);          --錢數、商品數量顯示數據
 act10,act5   :out std_logic);                   --1元硬幣、5角硬幣
end PL_auto1;
architecture behav of PL_auto1 is
type  ram_type is array(3 downto 0)of std_logic_vector(7 downto 0);
signal ram :ram_type;                                      --定義RAM
signal item: std_logic_vector(1 downto 0);                      --商品種類
signal coin: std_logic_vector(3 downto 0);                      --幣數計數器
signal pri,qua:std_logic_vector(3 downto 0);                    --商品單價、數量
signal clk1: std_logic;                                      --控制系統的時鐘信號
begin
com:process(set,clk1)
variable quan:std_logic_vector(3 downto 0);
begin
  if set='1' then ram(conv_integer(item))<=price & quantity;act<="0000";
 --把商品的單價、數量置入到RAM
  elsif clk1'event and clk1='1' then  act5<='0'; act10<='0';
      if coin0='1' then    
      if coin<"1001"then coin<=coin+1;            --投入5角硬幣,coin自加1
   else coin<="0000";
   end if;
      elsif coin1='1' then
   if coin<"1001"then coin<=coin+2;            --投入1元硬幣,coin自加2
   else coin<="0000";
   end if;
      elsif sel='1' then item<=item+1;                  --對商品進行循環選擇
 elsif get='1' then                              --對商品進行購買
 if qua>"0000" and coin>=pri then coin<=coin-pri;quan:=quan-1;
 ram(conv_integer(item))<=pri & quan;
            if   item="00" then act<="1000";  --購買時,自動售貨機對4種商品的操作
   elsif item="01" then act<="0100";
      elsif item="10" then act<="0010";
      elsif item="11" then act<="0001";
   end if;
  end if;
      elsif  finish='1' then                            --結束交易,退幣(找幣)
         if coin>"0001" then act10<='1';coin<=coin-2;     --此IF語句完成找幣操作
         elsif coin>"0000" then act5<='1'; coin<=coin-1;
         else act5<='0'; act10<='0';
         end if;
      elsif get='0' then act<="0000";                 
         for i in 4 to 7 loop                   
         pri(i-4)<=ram (conv_integer(item))(i);           --商品單價的讀取
         end loop;
         for i in 0 to 3 loop
         quan(i):=ram(conv_integer(item))(i);            --商品數量的`讀取
         end loop;
      end if;
  end if;
 qua<=quan;
end process com;

自動售貨機VHDL程序

m32:process(clk)                            --此進程完成對32Mhz的脈衝分頻
variable q: std_logic_vector( 24 downto 0);
begin
   if clk'event and clk='1' then q:=q+1;
   end if;
   if q="111111111111111111111111" then clk1<='1';
   else clk1<='0';
   end if;
end process m32;

code0:process(item)                          --商品指示燈譯碼
begin
 case item is
 when "00"=>item0<="0111";
 when "01"=>item0<="1011";
 when "10"=>item0<="1101";
 when others=>item0<="1110";
 end case;
end process;

code1: process (coin)                       --錢數的BCD到七段碼的譯碼
begin
  case coin is
      when "0000"=>y0<="0000001";
      when "0001"=>y0<="1001111";
      when "0010"=>y0<="0010010";
      when "0011"=>y0<="0000110";
      when "0100"=>y0<="1001100";
      when "0101"=>y0<="0100100";
      when "0110"=>y0<="0100000";
      when "0111"=>y0<="0001111";
      when "1000"=>y0<="0000000";
      when "1001"=>y0<="0000100";
      when others=>y0<="1111111";
  end case;
end process;

code2: process (qua)                       --單價的BCD到七段碼的譯碼
begin
  case qua is
      when "0000"=>y1<="0000001";
      when "0001"=>y1<="1001111";
      when "0010"=>y1<="0010010";
      when "0011"=>y1<="0000110";
      when "0100"=>y1<="1001100";
      when "0101"=>y1<="0100100";
      when "0110"=>y1<="0100000";
      when "0111"=>y1<="0001111";
      when "1000"=>y1<="0000000";
      when "1001"=>y1<="0000100";
      when others=>y1<="1111111";
  end case;
end process;
end behav;